port: add missing update in set_view

This commit is contained in:
Michael Sippel 2021-07-28 01:54:12 +02:00
parent f2fdaa687b
commit b6f67c8ee3
Signed by: senvas
GPG key ID: F96CF119C34B64A6

View file

@ -44,6 +44,7 @@ where V::Msg: Clone {
}
pub fn set_view(&self, view: Option<Arc<V>>) {
self.update();
*self.view.write().unwrap() = view.clone();
self.cast.write().unwrap().reset(view);
}